summaryrefslogtreecommitdiff
path: root/inst.chisel
diff options
context:
space:
mode:
Diffstat (limited to 'inst.chisel')
-rw-r--r--inst.chisel12
1 files changed, 12 insertions, 0 deletions
diff --git a/inst.chisel b/inst.chisel
index efb1ba7..455ca08 100644
--- a/inst.chisel
+++ b/inst.chisel
@@ -255,6 +255,10 @@ object CSRs {
val uarch13 = 0xccd
val uarch14 = 0xcce
val uarch15 = 0xccf
+ val counth = 0x586
+ val cycleh = 0xc80
+ val timeh = 0xc81
+ val instreth = 0xc82
val all = {
val res = collection.mutable.ArrayBuffer[Int]()
res += fflags
@@ -301,4 +305,12 @@ object CSRs {
res += uarch15
res.toArray
}
+ val all32 = {
+ val res = collection.mutable.ArrayBuffer(all:_*)
+ res += counth
+ res += cycleh
+ res += timeh
+ res += instreth
+ res.toArray
+ }
}