summaryrefslogtreecommitdiff
path: root/inst.chisel
diff options
context:
space:
mode:
Diffstat (limited to 'inst.chisel')
-rw-r--r--inst.chisel32
1 files changed, 32 insertions, 0 deletions
diff --git a/inst.chisel b/inst.chisel
index c1dca0b..4708d3f 100644
--- a/inst.chisel
+++ b/inst.chisel
@@ -237,6 +237,22 @@ object CSRs {
val cycle = 0xc00
val time = 0xc01
val instret = 0xc02
+ val uarch0 = 0xc10
+ val uarch1 = 0xc11
+ val uarch2 = 0xc12
+ val uarch3 = 0xc13
+ val uarch4 = 0xc14
+ val uarch5 = 0xc15
+ val uarch6 = 0xc16
+ val uarch7 = 0xc17
+ val uarch8 = 0xc18
+ val uarch9 = 0xc19
+ val uarch10 = 0xc1a
+ val uarch11 = 0xc1b
+ val uarch12 = 0xc1c
+ val uarch13 = 0xc1d
+ val uarch14 = 0xc1e
+ val uarch15 = 0xc1f
val all = {
val res = collection.mutable.ArrayBuffer[Int]()
res += fflags
@@ -265,6 +281,22 @@ object CSRs {
res += cycle
res += time
res += instret
+ res += uarch0
+ res += uarch1
+ res += uarch2
+ res += uarch3
+ res += uarch4
+ res += uarch5
+ res += uarch6
+ res += uarch7
+ res += uarch8
+ res += uarch9
+ res += uarch10
+ res += uarch11
+ res += uarch12
+ res += uarch13
+ res += uarch14
+ res += uarch15
res.toArray
}
}