From b6ea75cfc9712b77a0886c4348d6fb519641e40e Mon Sep 17 00:00:00 2001 From: Karl Ramm Date: Mon, 21 Jan 2008 03:11:44 +0000 Subject: de K&Rify, fix prototypes --- zwgc/mux.h | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) (limited to 'zwgc/mux.h') diff --git a/zwgc/mux.h b/zwgc/mux.h index 3654a3f..230f9df 100644 --- a/zwgc/mux.h +++ b/zwgc/mux.h @@ -38,7 +38,7 @@ extern int mux_end_loop_p; * any other mux call. */ -extern void mux_init(); +extern void mux_init(void); /* * void mux_add_input_source(int descriptior; void (*handler)(); void *arg) @@ -50,7 +50,7 @@ extern void mux_init(); * argument arg. */ -extern void mux_add_input_source(); +extern void mux_add_input_source(int, void (*)(void *), void *); /* * void mux_loop() @@ -67,6 +67,6 @@ extern void mux_add_input_source(); * true, we return. */ -extern void mux_loop(); +extern void mux_loop(void); #endif -- cgit v1.2.3