From 1012424193c21b16f3cc4f3085186384a86d7f9e Mon Sep 17 00:00:00 2001 From: Benjamin Barenblat Date: Wed, 11 Feb 2015 17:05:33 -0500 Subject: Initial commit --- src/Main.hs | 18 ++++++++++++++++++ 1 file changed, 18 insertions(+) create mode 100644 src/Main.hs (limited to 'src') diff --git a/src/Main.hs b/src/Main.hs new file mode 100644 index 0000000..f569b1a --- /dev/null +++ b/src/Main.hs @@ -0,0 +1,18 @@ +{- Copyright © 2015 Benjamin Barenblat + +This program is free software: you can redistribute it and/or modify it under +the terms of the GNU General Public License as published by the Free Software +Foundation, either version 3 of the License, or (at your option) any later +version. + +This program is distributed in the hope that it will be useful, but WITHOUT ANY +WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A +PARTICULAR PURPOSE. See the GNU General Public License for more details. + +You should have received a copy of the GNU General Public License along with +this program. If not, see . -} + +module Main where + +main :: IO () +main = putStrLn "Hello, world!" -- cgit v1.2.3