From 1012424193c21b16f3cc4f3085186384a86d7f9e Mon Sep 17 00:00:00 2001 From: Benjamin Barenblat Date: Wed, 11 Feb 2015 17:05:33 -0500 Subject: Initial commit --- Setup.hs | 16 ++++++++++++++++ 1 file changed, 16 insertions(+) create mode 100644 Setup.hs (limited to 'Setup.hs') diff --git a/Setup.hs b/Setup.hs new file mode 100644 index 0000000..8604158 --- /dev/null +++ b/Setup.hs @@ -0,0 +1,16 @@ +{- Copyright © 2015 Benjamin Barenblat + +This program is free software: you can redistribute it and/or modify it under +the terms of the GNU General Public License as published by the Free Software +Foundation, either version 3 of the License, or (at your option) any later +version. + +This program is distributed in the hope that it will be useful, but WITHOUT ANY +WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A +PARTICULAR PURPOSE. See the GNU General Public License for more details. + +You should have received a copy of the GNU General Public License along with +this program. If not, see . -} + +import Distribution.Simple +main = defaultMain -- cgit v1.2.3