From 2d1910dc6ec51827b5ef4f05b12f0641f46a66f7 Mon Sep 17 00:00:00 2001 From: letouzey Date: Mon, 15 Apr 2013 19:36:51 +0000 Subject: Minor simplifications in Declaremods and Safe_typing - get_module_substobjs (resp. modtype) without useless mp_from arg - no need for the whole Safe_typing.pack_module - ... git-svn-id: svn+ssh://scm.gforge.inria.fr/svn/coq/trunk@16407 85f007b7-540e-0410-9357-904b9bb8a0f7 --- library/declaremods.mli | 6 ------ 1 file changed, 6 deletions(-) (limited to 'library/declaremods.mli') diff --git a/library/declaremods.mli b/library/declaremods.mli index e350c9fb1..c5a43dfbf 100644 --- a/library/declaremods.mli +++ b/library/declaremods.mli @@ -126,12 +126,6 @@ val iter_all_segments : (object_name -> obj -> unit) -> unit val debug_print_modtab : unit -> Pp.std_ppcmds -(*i val debug_print_modtypetab : unit -> Pp.std_ppcmds i*) - -(** For translator *) -val process_module_bindings : module_ident list -> - (MBId.t * (module_struct_entry annotated)) list -> unit - (** For Printer *) val process_module_seb_binding : MBId.t -> Declarations.struct_expr_body -> unit -- cgit v1.2.3