From 6c9af0adc1f4f313cde340e7eab11edf023221b0 Mon Sep 17 00:00:00 2001 From: Benjamin Barenblat Date: Sun, 21 Dec 2014 21:58:03 -0600 Subject: C++ skeleton: Initial commit --- src/main.cc | 22 ++++++++++++++++++++++ 1 file changed, 22 insertions(+) create mode 100644 src/main.cc (limited to 'src/main.cc') diff --git a/src/main.cc b/src/main.cc new file mode 100644 index 0000000..402853e --- /dev/null +++ b/src/main.cc @@ -0,0 +1,22 @@ +// main.cc +// Copyright (C) 2014 Benjamin Barenblat +// +// This program is free software: you can redistribute it and/or modify it +// under the terms of the GNU General Public License as published by the Free +// Software Foundation, either version 3 of the License, or (at your option) +// any later version. +// +// This program is distributed in the hope that it will be useful, but WITHOUT +// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or +// FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for +// more details. +// +// You should have received a copy of the GNU General Public License along with +// this program. If not, see . + +#include + +int main() { + std::cout << "Hello, world!" << std::endl; + return 0; +} -- cgit v1.2.3