From 70e06336786ce23b7702f8a48d31b3cfa09e75fd Mon Sep 17 00:00:00 2001 From: Benjamin Barenblat Date: Fri, 27 Feb 2015 21:14:48 -0500 Subject: Initial commit --- Buffer.bsv | 36 ++++++++++++++++++++++++++++++++++++ 1 file changed, 36 insertions(+) create mode 100644 Buffer.bsv (limited to 'Buffer.bsv') diff --git a/Buffer.bsv b/Buffer.bsv new file mode 100644 index 0000000..b44931b --- /dev/null +++ b/Buffer.bsv @@ -0,0 +1,36 @@ +// Copyright (C) 2015 Benjamin Barenblat +// +// This program is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// This program is distributed in the hope that it will be useful, but WITHOUT +// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS +// FOR A PARTICULAR PURPOSE. See the GNU General Public License for more +// details. +// +// You should have received a copy of the GNU General Public License along with +// this program. If not, see . + + +interface BufferBank; + (* always_ready *) + method Bit#(8) led(); + + (* always_enabled, always_ready *) + method Action update(Bit#(8) switch); +endinterface + +(* synthesize *) +module mkBufferBank(BufferBank); + Wire#(Bit#(8)) w <- mkDWire(minBound); + + method Bit#(8) led(); + return w; + endmethod + + method Action update(switch); + w <= switch; + endmethod +endmodule -- cgit v1.2.3