aboutsummaryrefslogtreecommitdiff
path: root/Buffer.bsv
diff options
context:
space:
mode:
Diffstat (limited to 'Buffer.bsv')
-rw-r--r--Buffer.bsv36
1 files changed, 36 insertions, 0 deletions
diff --git a/Buffer.bsv b/Buffer.bsv
new file mode 100644
index 0000000..b44931b
--- /dev/null
+++ b/Buffer.bsv
@@ -0,0 +1,36 @@
+// Copyright (C) 2015 Benjamin Barenblat <bbaren@mit.edu>
+//
+// This program is free software: you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation, either version 3 of the License, or
+// (at your option) any later version.
+//
+// This program is distributed in the hope that it will be useful, but WITHOUT
+// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS
+// FOR A PARTICULAR PURPOSE. See the GNU General Public License for more
+// details.
+//
+// You should have received a copy of the GNU General Public License along with
+// this program. If not, see <http://www.gnu.org/licenses/>.
+
+
+interface BufferBank;
+ (* always_ready *)
+ method Bit#(8) led();
+
+ (* always_enabled, always_ready *)
+ method Action update(Bit#(8) switch);
+endinterface
+
+(* synthesize *)
+module mkBufferBank(BufferBank);
+ Wire#(Bit#(8)) w <- mkDWire(minBound);
+
+ method Bit#(8) led();
+ return w;
+ endmethod
+
+ method Action update(switch);
+ w <= switch;
+ endmethod
+endmodule